Modelarea Comportamentala In Industria Semiconductoarelor Profet
Table of Contents
Prefata ……………………………………………………………………………………3
Capitolul 1
Introducere………………………………………………………………………………….5
1.1 Modele Behavior………………………………………………………………………..5
1.2 VHDL-AMS …………………………………………………………………………6
1.2.1 Domenii de modelare……………………………………………………………….6
1.2.2 Modelare Mixed-Signal…………………………………………………………..6
1.3 Cadence…………………………………………………………………………….9
Capitolul 2
Smart High-Side Switch-PROFET……………………………………………………10
2.1 Prezentare Generala……………………………………………………………….10
2.2 Diagrama Bloc……………………………………………………………………..11
2.3 Termeni……………………………………………………………………………12
2.4 Configuratia pinilor……………………………………………………………….13
2.4.1 Locatia Pinilor………………………………………………13
2.4.2 Descrierea pinilor……………………………………………………………..13
2.5 Caracterisitici electrice…………………………………………………………..14
2.6 Functiile de Protectie…………………………………………………………….15
2.6.1 Protectia la Scurt-Circuit…………………………………………………….15
2.6.2 Protectia la Supra-Incalzire…………………………….16
2.6.3 Protectia la tensiunea de alimentare inversa-Reversave ………………………16
2.6.4 Protectia la supra-tensiune……………………………………………………..16
Capitolul 3
PROFET: Modele Behavior………..……………………………………………………17
3.1 Central_Functions……………………………………………17
3.1.1 Supply… ………………………………………………………18
3.1.2 Band-Gap……………………………………………21
3.1.3 IREF……………………………………………………………………………25
3.1.4 Under-Voltage…………………………………………………………………26
3.2 Logic………………………………………………………………………………28
3.2.1 IN_BUFF………………………………………………………………………28
3.3 Diagnosis………………………………………………………………………….30
3.3.1 Activarea Diagnozei……………………………………………………………31
3.3.2 Diagnoza atunci cand PROFET-ul este ON..……………………………….32
3.3.3 Diagnoza atunci cand PROFET-ul este OFF. …………………………………33
3.4 DRIVER……….……………………………………………………………34
3.4.1 Charge pump……………………………………………………………………34
3.4.2 Clamping ………………………………………………………………………36
3.4.3 Gate_charge……………………………………………………………………38
3.4.4 Gate_discharge…………………………………………………………………39
3.4.5 Reverse_on ……………………………………………………………………42
Capitolul 4
Simulari de Top-Level…………………………………………………………………43
4.1 Test 1………………………………………………………………………………43
4.2 Test 2 ……………………………………………………………………………..43
4.3 Test 3 ……………………………………………………………………………..44
4.4 Test 4 ……………………………………………………………………………..44
4.5 Test 5 ……………………………………………………………….. ……………45
4.6 Test 6 ……………………………………………………………………………..45
4.7 Test 7 …………………………………………………………………………….46
4.8 Test 8 ……………………………………………………………………………………………………….46
Figura 4.1…………………………………………………………………………………………………48
Figure 4.2…………………………………………………………………………………………………49
Figure 4.3…………………………………………………………………………………………………50
Figure 4.4…………………………………………………………………………………………………51
Figure 4.5…………………………………………………………………………………………………52
Figure 4.6…………………………………………………………………………………………………53
Figure 4.7…………………………………………………………………………………………………54
Figure 4.8…………………………………………………………………………………………………55
Figure 4.9…………………………………………………………………………………………………56
Figure 4.10…………………………………………………………………………………………………48
Figure 4.11…………………………………………………………………………………………………48
Figure 4.12…………………………………………………………………………………………………48
Figure 4.13…………………………………………………………………………………………………48
Figure 4.14…………………………………………………………………………………………………48
Figure 4.15…………………………………………………………………………………………………48
Figure 4.16…………………………………………………………………………………………………4
Concluzii………………………………………………………………………………66
Bibliografie…..………………………………………………………..67
Prefata
VHDL-AMS este un limbaj de descriere hardware pentru modelarea si simularea sistemelor digitale, analogice si mixte.VHDL-AMS este o extensie a limbajului de descriere hardware digital VHDL, care a aparut printr-un program al guvernului SUA numit VHSIC (Very High Speed Integrated Circuits). Limbajul VHDL (VHSIC Hardware Description Language) a fost dezvoltat din nevoia unui limbaj standard pentru descrierea structurii si functionarii circuitelor integrate (IC). Prima versiune a limbajului a aparut in anul 1987 (VHDL-87), acesta fiind dezvoltat sub patronajul IEEE (Institute of Electrical and Electronic Engineers) si adoptat ca standardul IEEE 1076. Ca toate standardele IEEE, standardul VHDL este un subiect ce se revizueste la fiecare 5 ani. Comentariile si sugestiile utilizatorilor a standardului 1987 au fost analizate de catre grupul de lucru responsabil cu limbajul VHDL, iar in 1992 o noua versiune a fost propusa, fiind adoptata in 1993, obtinandu-se VHDL-93, aceasta fiind urmata de o noua versiune, VHDL-2001.
La inceputul anilor ’90, din nevoia unui limbaj de descriere hardware ce poate modela sisteme analogice si mixte a fost stabilit un grup de lucru (IEEE). Grupul de lucru a dezvoltat un limbaj de programare,acesta fiind o extensie a limbajului VHDL si a completat un manual (Language Reference Manual) in anul 1997 iar in 1999 a fost aprobat ca standardul IEEE 1076.1 (Definition of Analog and Mixed signal Extensions to IEEE Standard VHDL).
Limbajul VHDL-AMS permite:
Descrierea structurala a unui sistem, cum este separat in subsisteme si cum sunt conectate acestea intre ele.
Descrierea functionarii unui sistem utilizand limbajele de programare familiare si ecuatii matematice.
Descrierea unui sistem pentru a fi simulat inainte de a fi fabricat, astfel incat design-erii pot foarte repede testa si corecta conceptele fara intarziere si fara consum de materiale hardware.
Scopul principal al acestui proiect de diploma este crearea modelelor comportamentale pentru un PROFET (PROtected Field Effect Transistors). Un PROFET este un transistor MOSFET avand integrate functii de protectie pentru imbunatatirea performantelor.
Aceste functii de protectie (protectie la supratensiune, protectie la tensiuni inverse, protectie la supracurent, protectie la temperaturi ridicate, protectie la scurt-circuit, functie de diagnoza) asigura ca tranzistorul nu va fi distrus daca un defect apare in circuitul din care PROFET-ul face parte. Pentru exemplu, protectia la scurt-circuit protejeaza MOSFET-ul daca un scurt-circuit al iesirii catre pamant apare. Protectia la temperaturi ridicate este activata daca temperatura MOSFET-ului atinge nivele nesigure, oprindu-se functionarea acestuia si oprind scurgerea curentului prin el. Switch-ul este protejat daca tensiunea pe baterie (alimentarea) se inverseaza (curentul poate sa treaca dar chip-ul nu este distrus).
PROFET-ul este un comutator (switch) inteligent utilizat in high-side drivers (sarcina din iesire are un capat conectat la masa). Aceste switch-uri inteligente sunt utilizate in principal in automobile la inchiderea/deschiderea luminilor interioare si exterioare.
Proiectul de diploma contine 4 capitole, structurate dupa cum urmeaza: in primul capitol este realizata o introducere in limbajul VHDL-AMS si in modelele behavior (comportamentale) si cum pot fi modelate circuitele integrate (IC), in capitolul doi este realizata o prezentare generala a PROFET-ului, in capitolul trei sunt prezentate modelele behavior si simularile realizate cu aceste modele,in final, in ultimul capitol sunt prezentate cateva simulari de top-level care verifica buna functionare a switch-ului: functionarea in conditii normale, detectia de scurt-circuit, detectia de sarcina in gol, blocarea functionarii pentru tensiuni de alimentare sub un prag minim.
Capitolul 1
Introducere
Modele behavior (comportamentale)
Modelele behavior pot fi definite ca o fiind o descriere abstracta a dispozitivului respectiv. Modelul care deriva din aceasta definitie este reprezentat ca o cutie neagra (black box) avand terminale ce reprezinta intrari si iesiri in black box, si un set de parametri ajustabili. Pentru a crea un model behavior, in primul rand vom considera comportamentul de la intrare si pana la iesirea din dispozitiv. Caracteristicile electrice sunt mai apoi divizate intr-o succesiune de ecuatii corelate. Modelul comportamental al unei celule este apoi prezentat ca o reprezentare bloc a caracteristicilor electrice bazate pe ecuatii matematice ,de exemplu, ale unor linii drepte,parabole sau chiar hiperbole. Fiecare din aceste ecuatii contine parametri definiti cu ajutorul unor proceduri de extractie ce impun teste asupra dispozitivului respectiv. Functionarea modelului si parametrii corespunzatori sunt obtinuti prin masurarea caracteristicilor electrice ale dispozitivului furnizate in datasheet. Desinger-ul decide ce caracteristici electrice sa utilizeze si care sunt algoritmii matematici pentru a determina cel mai bun model behavior.
Modelele behavior pot fi descrise utilizand cateva limbaje de descriere hardware (MAST, VHDL-AMS, VERILOG-AMS) incapsulate in simulatoare software (SABER, CADENCE, SMASH,…). VHDL-AMS si MAST sunt cele mai utilizate in design-ul, in sistemele multi-tehnologie si mixte (analog si digital).
In timp ce simularile realizate la nivel de tranzistor pot dura saptamani si chiar luni datorita complexitatii circuitelor integrate, modelele comportamentale pot fi simulate mult mai rapid si parametrii lor pot fi modificati si schimbati mult mai usor. Totusi, dezvoltarea modelelor comportamentale cer mult timp in dezvoltarea lor, datorita complexitatii circuitelor integrate si cererii de acuratete a modelului.
1.2 VHDL-AMS
In aceasta parte a lucrarii se va realiza o descriere a limbajului VHDL-AMS, utilizat pentru modelarea sistemelor digitale analogice si mixte si se vor prezenta cateva concepte de baza ale limbajului impreuna cu cateva exemple.
1.2.1 Domenii de modelare
Modelele comportamentale pot fi clasificate in trei domenii:
functional
structural
geometric
Domeniul functional este concentrat pe operatiile realizate de catre sistem.Acest tip de modelare nu este asociat unei tehnologii particulare; poate fi utilizat in orice caz pentru a reprezenta functii matematice: operatii aritmetice si algebrice ale variabilelor analogice.
Domeniul structural se ocupa de descompunerea sistemului in subsisteme si interconectarea acestora.
Domeniul geometric trateaza sistemul respectiv ca un corp in spatiu.
1.2.2 Modelare Mixed-Signal
Modelarea mixta contine atat sisteme digitale cat si sisteme analogice. Pentru exemplu putem considera un convertor A/D avand modelul comportamental simplificat de mai jos:
wait until input voltage crosses threshold
read input volta si corecta conceptele fara intarziere si fara consum de materiale hardware.
Scopul principal al acestui proiect de diploma este crearea modelelor comportamentale pentru un PROFET (PROtected Field Effect Transistors). Un PROFET este un transistor MOSFET avand integrate functii de protectie pentru imbunatatirea performantelor.
Aceste functii de protectie (protectie la supratensiune, protectie la tensiuni inverse, protectie la supracurent, protectie la temperaturi ridicate, protectie la scurt-circuit, functie de diagnoza) asigura ca tranzistorul nu va fi distrus daca un defect apare in circuitul din care PROFET-ul face parte. Pentru exemplu, protectia la scurt-circuit protejeaza MOSFET-ul daca un scurt-circuit al iesirii catre pamant apare. Protectia la temperaturi ridicate este activata daca temperatura MOSFET-ului atinge nivele nesigure, oprindu-se functionarea acestuia si oprind scurgerea curentului prin el. Switch-ul este protejat daca tensiunea pe baterie (alimentarea) se inverseaza (curentul poate sa treaca dar chip-ul nu este distrus).
PROFET-ul este un comutator (switch) inteligent utilizat in high-side drivers (sarcina din iesire are un capat conectat la masa). Aceste switch-uri inteligente sunt utilizate in principal in automobile la inchiderea/deschiderea luminilor interioare si exterioare.
Proiectul de diploma contine 4 capitole, structurate dupa cum urmeaza: in primul capitol este realizata o introducere in limbajul VHDL-AMS si in modelele behavior (comportamentale) si cum pot fi modelate circuitele integrate (IC), in capitolul doi este realizata o prezentare generala a PROFET-ului, in capitolul trei sunt prezentate modelele behavior si simularile realizate cu aceste modele,in final, in ultimul capitol sunt prezentate cateva simulari de top-level care verifica buna functionare a switch-ului: functionarea in conditii normale, detectia de scurt-circuit, detectia de sarcina in gol, blocarea functionarii pentru tensiuni de alimentare sub un prag minim.
Capitolul 1
Introducere
Modele behavior (comportamentale)
Modelele behavior pot fi definite ca o fiind o descriere abstracta a dispozitivului respectiv. Modelul care deriva din aceasta definitie este reprezentat ca o cutie neagra (black box) avand terminale ce reprezinta intrari si iesiri in black box, si un set de parametri ajustabili. Pentru a crea un model behavior, in primul rand vom considera comportamentul de la intrare si pana la iesirea din dispozitiv. Caracteristicile electrice sunt mai apoi divizate intr-o succesiune de ecuatii corelate. Modelul comportamental al unei celule este apoi prezentat ca o reprezentare bloc a caracteristicilor electrice bazate pe ecuatii matematice ,de exemplu, ale unor linii drepte,parabole sau chiar hiperbole. Fiecare din aceste ecuatii contine parametri definiti cu ajutorul unor proceduri de extractie ce impun teste asupra dispozitivului respectiv. Functionarea modelului si parametrii corespunzatori sunt obtinuti prin masurarea caracteristicilor electrice ale dispozitivului furnizate in datasheet. Desinger-ul decide ce caracteristici electrice sa utilizeze si care sunt algoritmii matematici pentru a determina cel mai bun model behavior.
Modelele behavior pot fi descrise utilizand cateva limbaje de descriere hardware (MAST, VHDL-AMS, VERILOG-AMS) incapsulate in simulatoare software (SABER, CADENCE, SMASH,…). VHDL-AMS si MAST sunt cele mai utilizate in design-ul, in sistemele multi-tehnologie si mixte (analog si digital).
In timp ce simularile realizate la nivel de tranzistor pot dura saptamani si chiar luni datorita complexitatii circuitelor integrate, modelele comportamentale pot fi simulate mult mai rapid si parametrii lor pot fi modificati si schimbati mult mai usor. Totusi, dezvoltarea modelelor comportamentale cer mult timp in dezvoltarea lor, datorita complexitatii circuitelor integrate si cererii de acuratete a modelului.
1.2 VHDL-AMS
In aceasta parte a lucrarii se va realiza o descriere a limbajului VHDL-AMS, utilizat pentru modelarea sistemelor digitale analogice si mixte si se vor prezenta cateva concepte de baza ale limbajului impreuna cu cateva exemple.
1.2.1 Domenii de modelare
Modelele comportamentale pot fi clasificate in trei domenii:
functional
structural
geometric
Domeniul functional este concentrat pe operatiile realizate de catre sistem.Acest tip de modelare nu este asociat unei tehnologii particulare; poate fi utilizat in orice caz pentru a reprezenta functii matematice: operatii aritmetice si algebrice ale variabilelor analogice.
Domeniul structural se ocupa de descompunerea sistemului in subsisteme si interconectarea acestora.
Domeniul geometric trateaza sistemul respectiv ca un corp in spatiu.
1.2.2 Modelare Mixed-Signal
Modelarea mixta contine atat sisteme digitale cat si sisteme analogice. Pentru exemplu putem considera un convertor A/D avand modelul comportamental simplificat de mai jos:
wait until input voltage crosses threshold
read input voltage with respect to ground
if input voltage above threshold then
output high value as result
else
output low value as result
end if;
end wait until;
Pentru descrierea acestui convertor A/D vom utiliza limbajul VHDL-AMS si vom numi modulul adc, ca in Figura 1.1, terminalele de intrare si iesire sunt denumite ports.
Figure 1. 1
Mai jos este prezentata o declaratie de entitate (entity) pentru acest adc. Declaratia de entitate introduce un nume pentru entity si prezinta o lista cu porturile de intrare si de iesire din modul. Portul numit gain este un quantity port analogic, reprezentand un nivel de tensiune care este continuu in timp. Portul numit a este un terminal port analogic de tip electrical,ce reprezinta un nod in circuit. Tipul electrical considera ca terminalul respectiv are o tensiune si un curent asociat nodului respectiv, si ca ii sunt aplicate legile conservarii energii.
entity adc is
port ( quantity gain : in voltage ;
terminal a: electrical;
signal clk : in bit;
signal d_out: out bit);
end entity adc ;
Porturile clk si d reprezinta semnale digitale de tipul bit. Aceste porturi pot avea valorile „0” sau „1” in interiorul si in exteriorul entitatii.
Observatia care poate fi facuta mai sus este ca declaratia de entitate descrie imaginea exterioara a modulului. In VHDL-AMS, o descriere a implementarii interioare a entitatii este numita arhitectura (architecture body) entitatii. Pot exista mai multe architecturi pentru o singura interfata a entitatii, corespunzatoare implementarilor alternative care realizeaza aceiasi functionare. Comportamentul arhitecturii unei entitati poate fi scris astfel incat functionarea sa fie descrisa intr-un mod abstract.
O astfel de arhitectura include doar declaratii proces (process statements) ce descriu colectii de actiuni ce sunt executate in secventa, sau ecuatii simultane (simultaneous statements) acestea reprezentand ecuatii ce descriu comportamentul sistemelor analogice. Cu alte cuvinte, declaratiile de tip proces sunt utilizate de obicei pentru a descrie o functionare digitala, in timp ce ecuatiile simultane sunt utilizate pentru a descrie o functionare analogica.
Actiunile dintr-un process sunt numite declaratii secventiale si reprezinta declaratii care se intalnesc de obicei in limbajele de programare.Tipul de actiuni care pot fi realizate contine expresii de evaluare, atribuiri de valori variabilelor, declaratii conditionate si apelare de subprograme. In plus exista o declaratie secventiala care este unica pentru pentru limabjele de modelare hardware, si anume signal assignment statements (declaratii de atribuiri de semnale). Aceasta este similara atribuirii de variabile, cu deosebirea ca atribuirea de semnal se face dupa un anumit timp. Declaratiile simultane modeleaza comportamentul analogic utilizand ecuatii matematice de tipul celor ce pot fi vazute in limbajele de programare.
O arhitectura de tip comportamental pentru entitatea de mai sus este aratata mai jos:
architecture behav of adc is
constant ref: real: = 5.0;
quantity v_in across a;
quantity v_amplified : voltage;
begin
v_amplified == v_in*gain;
adc_behavior: process is
variable stored_d:=bit;
begin
if clk = ‘1’ then
if v_ampliefied > ref/2.0 then
stored_d:=’1’;
else
stored_d:=’0’;
end if;
end if;
d_out <= stored_d after 4ns;
wait on clk;
end process adc_behavior;
end architecture behav;
Continutul arhitecturii incepe cu o declaratie de constanta,ref, reprezentand referinta de tensiune utilizata de catre adc. Urmeaza o declaratie a unei cantitati analogice „branch”,vin, reprezentand nivelul de tensiune peste terminalul a. Valoarea unei branch quanity, ca cea de mai sus, este constransa de proprietatile tensiunii si curentului ale terminalului asociat.
In continuare este declarata o free quantity, v_ampliefied, reprezentand tensiunea de intrare amplificata. Spre deosebire de o branch quantity, o free quantity, nu este constransa de proprietatile unui terminal, valoarea sa fiind determinata doar de ecuatiile din model.
In prima parte a corpului arhitecturii, dupa cuvantul cheie begin, este aratata o declaratie simultana in care valoarea cantitatii v_ampliefied este egalata cu produsul dintre v_in si gain.
In continuare avem un proces, in care este descris comportamentul convertorului A/D. In interiorul procesului se gasesc declaratii secventiale care definesc o secventa de actiuni care vor avea loc cand se realizeaza simularea sistemului. Aceste actiuni controleaza felul in care semnalele din entitate se schimba in timp, deci, se controleaza comportamentul digital al entitatii. Modul in care acest proces lucreaza este urmatorul. Cand incepe simularea, valoarea semnalului digital din iesire este setata la ’0’, si procesul este activat. In interiorul procesului este utilizata o variabila, aceasta fiind declarata dupa cuvantul cheie variable, si fiind initializata cu ’0’. In continuare declaratiile sunt executate in ordine.
Prima declaratie secventiala este o conditie care testeaza cand valoarea intrarii clk devine ’1’. Daca acest lucru se intampla, conditia interioara verifica daca valoarea cantitatii v_ampliefied, depaseste pragul ref/2, iar daca acest lucru se intampla valoarea variabilei stored_d este actualizata cu ’1’, altfel devine ’0’.
Dupa ce au loc declaratiile conditionate urmeaza o atribuire de semnal ce va actualiza, semanalul de iesire d_out, 4 ns mai tarziu.
Cand toate declaratiile din proces au fost executate, se ajunge la wait statement, care suspenda procesul. Acesta ramane suspendat pana cand semnalele la care este sensibil isi vor schimba valorile. In acest caz procesul este sensibil la modificarile semnalului clk. Cand acest semnal se modifica, procesul este reluat, declaratiile sunt executate din nou, incepand de la cuvantul cheie begin, si ciclul se repeta.
1.3 Cadence
Cadence este un mediu EDA (Electronic Design Automation) care permite integrarea intr-un singur cadru a diferite aplicatii si tool-uri., permitand astfel realizarea tuturor etapelor in design-ul circuitelor integrate.
Aceasta lucrare prezinta elaborarea modelelor behavior corespunzatoare unui circuit integrat, realizate in limbajul VHDL-AMS, simularile fiind realizate in mediul de simulare AMS-Designer.
Capitolul 2
Smart High-Side Switch –PROFET
2.1 Prezentare generala
Infineon Tehnologies dezvolta o gama variata de switch-uri avand functii de diagnoza pentru aplicatiile din industria automobilelor,. Aceste switch-uri se numesc PROFET (PROtected FET).
Figura 2. 1 PROFET
Deoarece functiile dintr-un automobil sunt descentralizate, un vehicol necesita un numar foarte mare de switch-uri cu posibilitatea de diagnoza, ele fiind utilizate aproape pentru toate aplicatiile. Totusi principalul domeniu de utilizare a acestor switch-uri este in partea electronica a automobilului, iar PROFET-ul este in mod remarcabil potrivit pentru comutarea lampilor incandescente, a motoarelor si consumatorilor rezistenti. PROFET-urile pot fi utilizate pentru a comuta curenti nominali de aproximativ 50 A.
Switch-urile integrate PROFET au incorporate o categorie foarte mare de functii care fac ca PROFET-ul sa fie ideal pentru cele mai variate aplicatii din industria automobilelor.
In esenta aceste switch-uri sunt tranzistoare MOSFET avand in plus adaugate functii care au fost integrate pentru a imbunatati performantele in aplicatiile utilizate. Functiile de protectie integrate sunt realizate astfel incat sa previna distrugerea circuitului integrat daca acesta functioneaza in afara parametrilor nominali.
Protectia la scurt-circuit protejeaza MOSFET-ul daca un scurt catre masa apare. Protectia la temperaturi ridicate este activata daca temperatura MOSFET-ului atinge nivele nesigure, oprind switch-ul si blocand complet trecerea curentului. Daca alimentarea este inversata, MOSFET-ul este protejat (curentul poate sa treaca, dar dispozitivul nu poate fi distrus).
Figura 2. 2 Functiile de protectie
Protectia la supra-tensiuni asigura ca MOSFET-ul nu va fi distrus daca nivele de tensiune prea mari apar la bornele sale. Pe langa aceste functii de protectie, PROFET-ul contine un „charge-pump” care creste tensiunea de la baterie, aceasta tensiune marita este aplicata portii MOSFET-ului cand este pornit pentru a asigura functionarea MOSFET-ului in regiunea liniara cu un curent de sarcina foarte mare. Functia de diagnoza este inclusa in PROFET astfel incat acesta sa furnizeze feedback catre un microcontroler daca o conditie ce impiedica buna functionare este prezenta.
2.2Diagrama Bloc
In figura 2.3 este prezentata diagrama bloc pentru PROFET-ul nostru avand incorporate functiile mentionate mai sus. Se observa cum pinii de intrare IN,IS si DEN sunt conectati la un bloc de protectie ESD (Electrostatic Discharge). Aceasta mai contine un bloc de supply (alimentare) intern, un bloc digital (logic) ce verifica in permanenta starea de functionare a chip-ului.
Figura 2. 3 Diagrama Bloc
2.3 Termeni
In urmatoarea figura sunt prezentati toti termenii utilizati in acest proiect.
Figura 2. 4 Termeni
2.4 Configuratia pinilor
2.4.1 Locatia pinilor
In Figura 2.5 este prezentata o vedere de sus a PROFET-ului si locul pinilor pe acest chip.
Figura 2. 5 Configuratia pinilor
2.4.2 Descrierea pinilor
In urmatorul tabel este prezentat fiecare pin de pe chip, tipul si functia acestora.
2.5 Functiile de Protectie
Functiile de protectie ce sunt integrate in PROFET sunt realizate pentru a preveni distrugerea circuitului integrat atunci cand acesta nu functioneaza in conditiile normale.
2.5.1 Protectia la Scurt-Circuit
Logica interioara monitorizeaza permanent curentul de sarcina . In momentul in care curentul de sarcina depaseste pragul de inchidere la scurt-circuit (), functionarea dispozitivului se va intrerupe imediat. Switch-ul va reveni la functionarea normala in momentul in care DEN trece din High in Low.
2.5.2 Protectia la supra-incalzire
Logica interioara monitorizeaza permanent temperatura MOSFET-ului, iar in momentul in care temperatura acestuia depaseste o anumita valoare(Tj>Tjt), functionarea switch-ului se va intrerupe imediat. Switch-ul va reveni la functionarea normala in momentul in care DEN trece din High in Low.
2.5.3 Protectia la tensiunea de alimentare inversa-Reversave
Daca bornele tensiunii de alimentare sunt inversate acesta trebuie sa fie protejat,. Aceasta protectie este o cerinta frecventa in modulele D.C., cel mai des fiind utilizata in industria automobilelor.
Dispozitivul nu poate bloca scurgerea de curent in conditiile alimentarii inverse. Pentru a minimiza disiparea de putere, dispozitivul ofera functionarea in Reversave. Reversave este o functie de protectie in care tranzistorul de putere inchide circuitul (functionarea normala), cand circuitul integrat este alimentat invers de la baterie. Ca rezultat, disiparea de putere este redusa aproape nivelul functionarii in conditii normale.
2.5.4 Protectia la supra-tensiune
In sistemul electric al unui automobil exista doua tipuri de impulsuri de supra-tensiune:
impulsuri scurte, avand timpii de crestere de aproximativ 100ns si energie mica
impulsuri de energie mare, avand timpi de crestere mici(load dump)
Energia impulsurilor electrice poate fi absorbita de catre PROFET pana la o anumita valoare a acesteia. Daca tipul de energie ce trebuie absorbita este prea mare, atunci este nevoie de o protectie la supra-tensiuni exterioara. In PROFET, protectia la supra-tensiune este realizata cu ajutorul unui circuit cu dioada zenner.
Capitolul 3
PROFET: Modele Behavior
In acest capitol sunt prezentate modelele behavior si simularile realizate pentru sub-blocurile continute de PROFET. Acest chip contine integrate, tranzistorul propriu-zis, si patru blocuri mari unde sunt concentrate functiile de protectie pentru functionarea corecta a chip-ului. Aceste blocuri sunt:
Central_Functions
Driver
Diagnosis
Logic
In aceste blocuri sunt implementate functiile de protectie. Mai jos este prezentata functionarea acestor blocuri si cum au fost create modelele behavior pentru aceste blocuri.
3.1 Central_Functions
Blocul Central_Functions contine blocul de alimentare interna, un bloc ce contine surse de curent distribuite altor blocuri pentru functionarea in conditii normale a acestora, si un circuit in care este monitorizat nivelul de tensiune al alimentarii.
In Figura 3.1 este prezentata o schema din Schematic Tracer (Cadence), in care se observa cum sub-blocurile din Central_functions sunt interconectate:
Supply
Band Gap
IREF
IDISTR
UnderVoltage
Figura 3. 1 Conectarea sub-blocurilor in Central_Functions
3.1.1 Supply
Din motivul ca acest chip nu are pinul ”VCC”, acest bloc genereaza urmatoarele tensiuni de alimentare interne in afara de VBB, care este singurul pin de alimentare al chip-ului:
“5V” tensiune furnizata de catre pinul ”VCC”.
O tensiune cu ~0V pana la ~0.8V mai mica decat ”VBB”, tensiune furnizata de catre pinul VBB_DIO.
Figura 3. 2 Supply
In Figura 3.2 se poate observa conceptul pentru pinul de alimentare VCC. Cat timp semnalul intern SUP_ONQ ramane low, valorile tensiunilor pinilor din iesire au valorile tipice, acestea depinzand de valoarea tensiunii de alimentare ”VBB”. Daca SUP_ONQ este high, iesirile vor fi deconectate.
Blocul Supply trebuie sa indeplineasca urmatoarele cerinte:
Pentru 5.5V<VBB<42V, tensiunea in VCC nu trebuie sa depaseasca 5.5V si nu trebuie sa scada sub 4.0V (Figure 3.3)
Pentru 0V<VBB<5.5V, diferenta maxima de tensiune dintre VBB si VCC nu trebuie sa depaseasca 1.2V.
Figura 3. 3 VCC=f(VBB)
Utilizand spedificatiile de mi sus, precum si simularile rezulate din Figura 3.3 si Figura 3.4, se poate scrie modelul VHDL-AMS pentru acest bloc.
Figura 3. 4 Tensiunea in VCC in functie de VBB si SUP_ONQ
architecture behave of supply is
quantity v_vbb across vbb to gnd;
quantity v_vcc across i_vcc through vcc;
quantity v_sup_onq across sup_onq to gnd;
…
begin
if (v_vbb’above(5.5) and not (v_sup_onq’above(0.5))) use –if vbb>5.5 and sup_onq is low
v_vcc==4.4; –use vcc=4.4 V
elsif not v_vbb’above (0.0) or v_sup_onq’above(0.5) use – else if vbb is low or sup_onq is
v_vcc==0.0;– high use vcc=0 V
else –else other cases
v_vcc==(4.4/5.5)*v_vbb; –vcc=f(vbb)
end use;
break on v_vbb’above(5.5);
break on v_sup_onq’above(0.5);
break on v_vbb’above(0.0);
…
end behave;
Rezultatul simularii utilizand modelul VHDL-AMS:
Figura 3. 5 Rezultatul simularii blocului Supply
3.1.2 Band-Gap
Aproape toate circuitele integrate contin referinte de tensiune si curent. Aceste referinte pot fi tensiuni sau curenti care prezinta o buna dependenta de alimentare si parametrii procesului si o bine definita dependenta de temperatura [RAZ1]. Pentru exemplu, curentii de polarizare trebuie sa fie generati conform cu o referinta. Obiectivul generarii de referinte este, sa se stabileasca o tensiune de c.c (in cazul de fata) sau curenti care sunt independenti de alimentare sau proces.
Figura 3. 6 Band_gap
Aici este prezentat modelul behavior al blocului Band-gap ,avand urmatorii pini de iesire:
Vref_1v8
Vref_1v5
Vref_1v4
Vref_1v2
Vref_0v4
Acesti pini trebuie sa genereze o tensiune continua de 1.8V, 1.5V, 1.4V, 1.2V, si respectiv 0.4V.
Utilizand urmatoarele specificatii, scheme, rezultate de simulari, realizate pentru acest bloc, a fost realizat modelul behavior pentru acest band-gap. In Figura 3.7 si Figura 3.8 sunt prezentate rezultatele simularilor realizate pe acest bloc, incluzand valorile tensiunilor pentru pinii Vref_1v8 si Vref_1v4, in functie de VBB, acesta fiind variat de la -16V la 42V.
Figura 3. 7 Nivelul tensiunii in Vref_1v8 in functie de VBB
Figura 3. 8 Nivelul tensiunii in Vref_1v4 in functie de VBB
Se observa ca pentru VBB>3.25V avem, Vref_1v8=1.8V si Vref_1v4=1.4V, iar pentru VBB<2V, toate referintele de tensiune sunt zero. Alimentarea pentru acest bloc este VCC, in Figura 3.9 sunt prezentate valorile tensiunilor pinilor Vref_1v8 si Vref_1v2 in functie de VCC. Se observa ca valorile tensiunilor pentru Vref_1v8 si Vref_1v2 sunt zero pentru VCC<0.4v iar pentru VCC>2.4V, avem Vref_1v8=1.8V si Vref_1v2=1.2V.
Figura 3. 9 Nivelul tensiunii in Vref_1v8 si Vref_1v2 in functie de VCC
Modelul comportamental pentu Vref_1v8 si Vref_1v2 este aratat mai jos, iar simularile rezultate cu acest model sunt prezentate in Figura 3.10.
architecture behave of bandgap is
quantity v_vref_1v8 across i_vref_1v8 through vref_1v8 to gnd;
quantity v_vref_1v2 across i_vref_1v2 through vref_1v2 to gnd;
…
quantity v_vcc across vcc to gnd;
begin
if v_vcc’above(2.5) use
v_vref_1v8==1.8;
v_vref_1v2==1.2;
…
elsif not v_vcc’above(0.4) use
v_vref_1v8==0.0;
v_vref_1v2==0.0;
…
else
v_vref_1v8==v_vcc*0.81-0.32 ;
v_vref_1v5==v_vcc*0.54-0.22 ;
…
end use ;
break on v_vcc’above(2.5);
break on v_vcc’above(0.4);
end behave;
Figura 3. 10 Rezultatul simularii blocului Band_Gap
3.1.3 IREF
Blocul IREF contine surse de curent, dependente doar de alimentarea circuitului integrat.Acesti curenti sunt utilizati pentru alimentarea altor blocuri, asigurandu-se astfel functionarea corecta a circuitului integrat.
Rezulatatele simularii utilizand modelul comportamental pentru acest bloc sunt prezentate in Figura 3.11.
In figura sunt aratati trei curenti ce au valorile, 5uA, 5uA si 30uA pentru VCC>1.3V.
Figura 3. 11 Rezultatul simularii pentru IREF
3.1.4 Under-Voltage
Acest bloc monitorizeaza permanent tensiunea de alimentare de la baterie a chip-ului, are doua semnale in iesire (VBB_UVQ si VCC_UVQ), ce au urmatoarea functionare:
0V, cand UV(under voltage);
VCC, cand nu avem UV;
Blocul Under_Voltage este impartit in trei blocuri:
Un bloc este responsabil pentru detectia UV pentru pinul VBB, acest bloc are la iesire semnalul VBB_UV1Q ce are urmatoarea functionare:
0V, daca VBB<5.55V
VCC, daca VBB>5.55V
Un bloc este de asemenea responsabil pentru detectia UV pentru VBB si adauga ~10ms intarziere daca VBB revine la nivelul normal de functionare, acest bloc are in iesire semnalul VBB_UV2Q, cu urmatoarea functionare:
0V, daca VBB<5.3V,
VCC, daca VBB>5.3V.
Ultimul bloc este responsabil pentru detectarea UV pentru VCC si adauga ~25us intarziere daca nivelul tensiunii in VBB sau VCC revine la normal, acest bloc are un semnal in iesire, VCC_UVQ, avand urmatoarea functionare:
0V, daca VCC<2.9V,
VCC, daca VCC>2.9V.
Modelul behavior utilizat pentru semnalele interne VBB_UV1Q si VBB_UV2Q:
architecture behave of uv is
signal VBB_UV1Q : std_logic;–internal signal
signal VBB_UV2Q : std_logic;–internal signal
…
quantity v_vbb across vbb to gnd;
begin
generate_VBB_UV1Q : process(v_vbb’above(5.55))
begin
if v_vbb’above(5.55) then
VBB_UV1Q <=’1’ after 1us; –VCC
Else VBB_UV1Q <=’0’ after 1us;–0V
end if;
generate_VBB_UV2Q : process(v_vbb’above(5.3))
begin
if v_vbb’above(5.3) then
VBB_UV2Q <=’1’; –VCC
else VBB_UV2Q <=’0’;–0V
end if;
…
end behave;
Rezultatul simularii pentru acest bloc utilizand modelul VHDL-AMS este prezentat mai jos:
Figura 3. 12 Rezultatul simularii blocului Under_Voltage
3.2 LOGIC
Cea mai importanta parte a acestui bloc este digitala. Blocul LOGIC contine trei tipuri de blocuri:
IN_BUFF
FUSE_TOP
ON_OFF_LOGIC
3.2.1 IN_BUFF
Blocul LOGIC contine doua sub-blocuri IN_BUFF, acestea fiind aplicate pinilor de intrare IN si DEN. IN_BUFF contine un comparator Trigger-Schmidt avand o intrare analogica IN(DEN), si o iesire digitala IN_I(DEN_I), avand urmatoarea functionare:
IN_I=‘0’, daca IN<1V sau VCC<3.8V.
IN_I=’1’,if IN>2V si VCC>3.8V.
Un model comportamental simplificat pentru acest bloc, avand functionarea prezentata mai sus, este aratata mai jos:
architecture behave of in_buff is
signal en_vcc : std_logic; –internal signal
signal in_i_int : std_logic; –internal signal
…
quantity v_IN across IN to gnd;
quantity v_vcc across vcc to gnd;
begin
generate_en_vcc: process(v_vcc’above(3.8))
begin
if v_vcc’above(3.8) then
en_vcc <=’1’;
else
en_vcc <=’0’;
generate_in_i: process(v_IN’above(1.0), v_IN’above(2.0))
begin
if v_IN’above(2.0) then
in_i_int<=’1’;
elsif (not v_IN’above(1.0)) then
in_i_int <=’0’;
end if;
IN_I<=in_i_int and en_vcc;– output signal;
…
end behave;
Rezultatele simularii cu acest bloc sunt aratate in Figura 3.13.
Se poate observa ca semnalul digital en_vcc este ’1’ logic atunci cand VCC>3.8V. Semnalul de intrare IN, este un semnal periodic (High=10V, Low=0V), si se poate observa ca semnalul din iesire in_i are functionarea prezentata in model.
Figura 3. 13 Rezultatul simularii blocului IN_BUFF
3.3 Diagnosis
Starea circuitului integrat, determinata prin diagnosticarea functionarii acestuia, poate fi citita in exteriorul acestuia prin doua moduri, digitala sau analogica [BRID1]. Intr-un PROFET cu o reactie de tip digitala, starea dispozitivului este semnalizata printr-un anumit nivel de tensiune.
Figure 3. 14 Termeni utilizati in diagnoza
Intr-un PROFET avand o reactie analogica, starea dispozitivului este semnalizata printr-un curent variabil ce curge prin pinul IS. Reactia analogica necesita un rezistor in exterior pentru a converti curentul intr-o tensiune, acesta reactie putand furniza si alte informatii referitoare la PROFET.
Mai jos este prezentata o simpla diagrama functionala avand o reactie de diagnostic de tip digital. Sub conditiile normale de operare, semnalul in IS este LOW daca iesirea este in OFF sau ON.
Daca PROFET-ul are un scurt-circuit catre pamant, iar acesta este in ON, semnalul din IS va fi HIGH.
Daca PROFET-ul are un scurt-circuit catre pinul de alimentare cand acesta este in OFF, nivelul semnalului in IS va fi HIGH.
Daca PROFET-ul se afla in conditie de supra-curent, PROFET-ul nu va raporta eroare decat atunci cand acesta va intra in inchidere termica. In acest moment, nivelul semnalului de semnalizare a starii PROFET-ului va fi HIGH.
In final, daca PROFET-ul detecteaza o conditie de sarcina in gol (open-load), nivelul semnalului va deveni HIGH atunci cand dispozitivul va fi OFF.
3.3.1 Activarea Diagnozei ([BTS1])
Diagnoza si raportarea starii PROFET-ului este activata de catre nivelul pinului DEN (Diagnosis ENable). Daca DEN=low, diagnoza este dezactivata, iar pinul IS este setat in starea de impedanta ridicata. Un nivel HIGH la pinul DEN, activeaza diagnoza si raporteaza daca o conditie de open-load sau scurt-circuit catre baterie este realizata cand dispozitivul este in modul OFF. In urmatorul tabel sunt prezentate, pe prima coloana nivelurile de operare pe care le poate realiza PROFET-ul, in a doua coloana este aratat nivelul tensiunii (IN,LOW) ce le poate avea pinul IN in fiecare din aceste moduri. In urmatoarele coloane sunt prezentate valorile pe care le poate avea iesirea si nivelul curentului in pinul IS in functie de nivelul semnalului in DEN (low, high).
3.3.2 Diagnoza atunci cand PROFET-ul este ON
In timpul operarii normale a PROFET-ului, in pinul IS este furnizat un curent proportional cu nivelul curentului de sarcina, atat timp cat Vb,IS >5V si cat timp IIS*RIS <VZ,IS (nivelul tensiunii la bornele diodei Zenner de protectie care este conectata la pinul IS). Raportul dintre curentul de sarcina si curentul in IS este denumit KILLIS ( KILIS=IL/IS ). In timpul functionarii normale, nu este furnizat curent in pinul IS, decat atunci cand diferenta de tensiune dintre baterie si iesire, VON < 400mV.
In timpul operarii inverse iar iesirea este off, in pinul IS nu este furnizat curent. In Figura 3.13 este prezentat un caz in timpul operarii normale a dispozitivului, atunci cand IN=high, si Vout=VBB, in acest caz daca DEN=high, curentul in pinul Is este dat de urmatoarea formula:
IS= IL/ KILIS
unde Kilis=20000.
Figura 3. 15 Functionarea normala a PROFET-ului
3.3.3 Diagnoza atunci cand PROFET-ul este OFF
In timpul functionarii normale in pinul IS nu este furnizat curent, acesta fiind dezactivat. Daca se realizeaza un scurt-circuit al sarcinii catre baterie,sarcina in gol, in pinul IS este furnizat un curent numit curent de fault (IIS (fault)). Pentru mai multe detalii, vezi figura de mai jos.
Figura 3. 16 Detectia de sarcina in gol
3.4 DRIVER
Blocul DRIVER contine urmatoarele sub-blocuri : charge_pump, clamping, reverse_on, gate_discharge, gate_charge.
In Figura 3.17 este prezentat un extras din Schematic Tracer (CADENCE), unde sunt prezentate cele 5 sub-blocuri din DRIVER si conexiunile dintre aceste blocuri.
Figura 3. 17 DRIVER
3.4.1 Charge_pump
Blocurile de tip charge_pump sunt utilizate in circuitele integrate pentru generarea de tensiuni interne de nivel mai mare decat tensiunea de alimenatare a circuitului integrat. Pentru crearea modelului comportamental a fost utilizata urmatoarea ecuatie:
Nota: VF – tensiunea de deschidere a diodelor
Vin – tensiunea de alimentare a blocului charge_pump
N – numarul de trepte
C – capacitatea de pompare (pumping-capacitor)
Cs – capacitatea parazita
Iout – curentul din iesirea blocului
Un model VHDL-AMS, simplificat, pentru acest bloc este prezentat mai jos :
entity chargepump is
generic (
n_stages : real :=3.0;
vbb_threshold : real :=9.5;
freq1 : real := 2.5e6;
freq2 : real :=5.0e6
);
port (
terminal vcc : electrical;
terminal vbb : electrical;
terminal vcp : electrical;
…
terminal gnd_cp: electrical;
signal oscillator_on : in std_logic;
signal chargepump_on : in std_logic;
);
end entity;
architecture behave of chargepump is
terminal vout_int : electrical;
quantity vout across iout through vout_int to gnd_cp;
quantity v_vbb across vbb to gnd_cp;
signal freq : real := freq1;
begin
detect_vbb : process(v_vbb’above(vbb_threshold))
begin
if v_vbb’above(vbb_threshold) then
freq <=freq1;
elsif not v_vbb’above(vbb_threshold) then
freq <=freq2;
end if;
end process;
if (chargepump_on =’1’ and oscillator_on=’1’) use
vout == 7.0 – 0.7 +n_stages*(5.0 -0.7) – iout*n_stages/(50.0*10.0e-9*freq);
else
vout == v_vbb- vout’dot*1.0e-8;
end use;
…
end behave;
In figura urmatoare este aratat rezultatul simularii acestui bloc, utilizand modelul de mai sus, si se poate observa ca atunci cand blocul este activat (chargepump_on=1 si oscillator_on=1), tensiunea din iesire este vout=19.2 atunci cand vbb=16V (tensiunea de alimentare).
Figura 3. 18 Rezultatul simularii blocului Charge_Pump
3.4.2 Clamping
In Figura 3.19 este prezentata o schema simplificata a circuitului de clamping. Diodele zenner limiteaza tensiunea in iesire atunci cand se realizeaza switch-off in iesire (aceasta devenind negativa si de amplitudine mare ,motivul principal fiind sarcina inductiva).
Figura 3. 19 Clamping
Acest bloc are un semnal digital in iesire avand urmatoarea functionalitate:
Pgclamp_off2 =’1’, cand OUT<-13V;
Pgclamp_off2 =’0’,cand OUT >-13V;
Pentru a vedea cum este obtinut acest semnal, mai jos este prezentata o simulare de top-level, pentru asta s-a utilizat urmatoarea schema cu setarile din tabelul de mai jos:
Rezultatul simularii blocului Clamping si se poate observa ca, atunci cand IN devine 0V, iesirea devine negativa iar semnalul digital pgclamp_off2 devine ’1’ cat timp iesirea este negativa.
Figura 3. 20 Schema utilizata pentru simularea blocului Clamping
Figura 3. 21 Rezultatul simularii blocului Clamping
3.4.3 Gate_charge
Rolul acestui bloc este de a incarca gate-ul MOSFET-ului cu un curent de 350uA atunci cand IN=H si chip-ul nu semnaleaza nici o conditie de eroare (gate_charge_on=’1’). Modelul comportamental pentru acest bloc este prezentat mai jos; se poate observa ca atunci cand gate_charge_on=’1’, semnalul intern charge_curent va fi egal cu 350uA.
entity gate_charge is
port(
terminal vcp : electrical;;
terminal gnd : electrical;
terminal gate : electrical;
signal gate_charge_on : in std_logic);
end entity;
architecture behave of gate_charge is
signal charge_current : real:=0.0;
begin
control_current : process(gate_charge_on)
begin
if gate_charge_on=’1’ then
charge_current<=350.0e-6;
else charge_current<=0.0;
end if;
end process;
current_source: entity work.csrc_sig(behav)
port map(
p>vcp,
m=>gate,
current_sig=> charge_current);
end behav;
Rezultatul simularii acestui bloc este prezentata mai jos:
Figura 3. 22 Rezultatul simularii blocului Gate_Charge
3.4.4 Gate_discharge
Acest bloc are rolul de a descarca grila atunci cand iesirea chip-ului trece in OFF. Aceasta descarcare este realizata in trei etape. In prima etapa, atunci cand Vgs>5V (tensiunea grila-sursa), descarcarea este realizata cu un curent de 1.9mA (Figura 3.23).
Figura 3. 23 Etapele descarcarii grilei
In etapa a doua atunci cand 0.8V<Vgs<5V, curentul de descarcare este de 350uA,in final, in a treia etapa se realizeaza o descarcare rapida cu un curent de descarcare de 1.2mA atunci cand Vgs<0.8V.
Modelul comportamental pentru acest bloc este prezentat mai jos:
entity gate_discharge is
port(
…
terminal vbb : electrical;
terminal gate : electrical;
terminal source : electrical;
terminal gnd : electrical;
signal off_gate : in std_logic;
signal fast_off : in std_logic
);
architecture behave of gate_discharge is
signal discharge_current : real :=0.0;
quantity v_vgs across i_gs through gate to source;
…
begin
control_current: process(off_gate,v_vgs’above(0.8),v_vgs’above(5.0),v_vgs’above(0.12),fast_off)
begin
if (off_gate=’1’ and v_vgs’above(5.0)) then
discharge_current <= 1.9e-3;–––––first stage
elsif (off_gate=’1’ and v_vgs’above(0.8) and not (v_vgs’above(5.0))) then
discharge_current<=350.0e-6;–––––––second stage
elsif (( off_gate=’1’ and v_vgs’above(0.12) and not (v_vgs’above(0.8))) or fast_off=’1’) then
discharge_current<=1.2e-3;––––––––third stage
elsif (( off_gate=’1’ and not (v_vgs’above(0.12)))) then
discharge_current<=0.0;
…
else discharge_current<=0.0;
end if;
current_source : entity work.csrc_sig(behav)
port map(
p=> gate,
m=> source,
current_sig=>discharge_current
);
end architecture behave;
Rezultatul simularii acestui bloc este prezentat mai jos:
Figura 3. 24 Rezultatul simularii blocului Gate_discharge
3.4.5 Reverse_on
Rolul acestui bloc este de a proteja chip-ul atunci cand tensiunea de alimentare devine negativa(VBAT<0). Acest bloc poate fi modelat, ca o rezistenta variabila, avand un capat conectat la grila MOSFET-ului, iar celalalt capat legat la GND, daca VBAT>0, rezistenta acestuia este foarte mare (r_open), iar pentru VBAT<0, rezistenta este foarte mica, rezistorul fiind practic un scurt-circuit (r_closed).
Rezultatele simularii acestui bloc sunt prezentate mai jos, unde rev este un semnal digital, acesta fiind egal cu ’1’ logic atunci cand VBAT<0.
Figura 3. 25 Rezultatul simularii blocului Reverse_on
Capitolul 4
Simulari de Top-Level
Acest capitol prezinta o serie de simulari in care se testeaza functionalitatea chip-ului: comutarea normala, detectia de supra-curent, detectia de open-load, gate-clamping, intreruperea functionarii cand apare UV (under-voltge), detectia de scurt-circuit catre VBB.
4.1 Test 1
Acest test are urmatorul comportament: cand IN devine LOW, tensiunea din iesirea PROFET-ului,OUT ,devine negativa, fiind limitata la -19V. Tensiunea in iesire devine negativa din cauza ca sarcina este inductiva. Pentru realizarea acestei simulari s-a utilizat schema din Figura 4.1 avand setarile din tabelul (Tabel 4.1) de mai jos.
Timpul de simulare=0.2s.
Tabel 4. 1 Configuratia testului 1
Rezulatele simularii pentru acest test sunt prezentate in Figura 4.2 si se poate observa ca atunci cand IN devine LOW, tensiunea in OUT devine negativa, si datorita functiilor de protectie integrate aceasta este limitata la -19V, dupa atingerea acestui prag, OUT-ul devine 0V si va ramane in 0V pana cand IN devine HIGH.
4.2 Test 2
Acest test verifica detectia unui scurt-circuit atunci cand DEN=ON (HIGH). Acest test ar trebui sa aiba urmatorul comportament: cand scurt-circuitul (SC) are loc, tensiunea in iesire devine negativa fiind limitata la -24V, dupa atingerea acestui prag, OUT-ul devine 0V, si va ramane in 0V pana cand DEN devine LOW(high->low), determinand chip-ul sa functioneze normal. Prin IS va trece un curent de FAULT atat timp cat DEN=HIGH.
Pentru realizarea acestui test s-a utilizat schema din Figura 4.3, avand setarile din tabelul 4.2. Scurt-circuitul(SC) se realizeaza intre 45ms si 50ms. Rezultale simularii sunt prezentate in Figura 4.4.
Tabel 4. 2 Configuratia testului 2
Timpul de simulare=0.2s.
4.3 Test 3
Acest test verifica detectia unui scurt-circuit atunci cand DEN=OFF (LOW). Testul are urmatorul comportament: cand SC are loc , tensiunea in iesire devine negativa fiind limitata la -24V, dupa atingerea acestui prag, OUT-ul devine 0V, si va ramane in 0V pana cand DEN trece din HIGH in LOW, determinand chip-ul sa functioneze normal. IS indica un curent de FAULT doar atunci cand OUT-ul este blocat si DEN=HIGH.
Pentru realizarea acestui test s-a utilizat schema din Figura 4.5, avand setarile din tabelul 4.3. Scurt-circuitul este activ intre 45ms si 50ms.
Timpul de simulare=0.2s
Tabel 4. 3 Configuratia testului 3
4.4 Test 4
Acest test verifica detectia sarcinii in gol atunci cand DEN=ON (HIGH). Testul are urmatorul comportament: cand sarcina devine in gol (OL), pinul IS indica un curent de FAULT atunci cand IN=OFF (LOW).
Acest test a fost obtinut utilizand schema din Figura 4.7, iar rezultatele simularii in Figura 4.8.
Setarile utilizate pentru acest test sunt prezentate in tabelul 4.4. OL (open load) este activ intre 40ms si 100ms, realizandu-se cu o sarcina de 1MegOhm.
Timpul de simulare=0.2s.
Tabel 4. 4 Configuratia testului 4
4.5 Test 5
Testul verifica detectia sarcinii in gol atunci cand DEN=OFF (LOW). Pentru acest test a fost utilizata schema din Figura 4.9 avand setarile din tabelul 4.5
Tabel 4. 5 Configuratia testului 5
OL este activ intre 40ms si 100ms, avand o sarcina de 1MegOhm.
Timpul de simulare=0.2s.
Acest test are urmatoarea functionare: cand chip-ul functioneaza normal, pinul IS furnizeaza un curent ce urmareste curentul din OUT dupa un anumit raport, iar atunci cand OL are loc curentul in IS devine zero.
Rezultatele simularii sunt prezentate in Figura 4.10.
4.6 Test 6
Acest test verifica inchiderea chip-ului atunci cand tensiunea de alimentare scade sub un anumit nivel. Schema utilizata pentru acest test este reprezentata in Figura 4.11 iar rezultatul simularii, in Figura 4.12 , setarile utilizate sunt reprezentate in tabelul 4.6.
Tabel 4. 6 Configuratia testului 6
Timpul de simulare=0.2s.
Testul are urmatoarea functionare: cand tensiunea de alimentare scade sub un anumit prag circuitul se inchide (OUT=0V), si porneste cu o anumita intarziere atunci cand tensiunea de alimentare depaseste pragul de UV.
4.7 Test 7
Acest test verifica detectia unui scurt-circuit in functie de VBB. Schema uitlizata pentru acest test este prezentata in Figura 4.13, iar rezultatul simularii este in Figura 4.14, setarile pentru acest test sunt prezentate in tabelul 4.7.
Tabel 4. 7 Configuratia testului 7
Timpul de simulare=0.2s.
Scurt-circuitul apare intre 45ms si 50ms, si va fi din nou activ dupa 145ms.
Acest test are urmatorul comportament : cand SC are loc , tensiunea in iesire devine negativa fiind limitata la -24V, dupa atingerea acestui prag, OUT-ul devine 0V, si va ramane in 0V pana cand DEN trece din HIGH in LOW, determinand chip-ul sa functioneze normal, pana cand al doilea scurt-circuit devine activ, blocand astfel iesirea in zero pana la sfarsitul simularii. Pragul de scurt-circuit este redus la jumatate in partea a doua, deoarece VBB este mai mare de 20V. IS indica un curent de FAULT atat timp cat OUT este blocata si DEN=ON(HIGH).
4.8 Test 8
Acest test verifica detectia unui scurt-circuit catre VBB. Schema uitlizata pentru aceasta schema este prezentata in Figura 4.15, iar rezultatul simularii este in Figura 4.16, setarile pentru acest test sunt prezentate in tabelul 4.8.
Tabel 4. 8 Configuratia testului 8
Timpul de simulare=0.2s.
Acest test are urmatorul comportament : cand SC are loc, tensiunea in iesire este VBB daca IN=HIGH sau VBB-VDS(OL) daca IN=LOW. Curentul in IS este mai mic decat IL/KILLIS atunci cand DEN=HIGH, sau in pinul IS nu este furnizat nici un curent daca DEN=LOW.
Figura 4. 1 Schema bloc utilizata pentru testul 1
Figura 4. 2 Rezultatul simularii pentru testul 1
Figura 4. 3 Schema bloc utilizata pentru simularea testului 2
Figura 4. 4 Rezultatul simularii testului 2
Figura 4. 5 Schema bloc utilizata pentru simularea testului 3
Figura 4. 6 Rezultatul simularii testului 3
Figura 4. 7 Schema bloc utilizata pentru simularea testului 4
Figura 4. 8 Rezultatul simularii testului 4
Figura 4. 9 Schema bloc utilizata pentru simularea testului 5
Figura 4. 10 Rezultatul simularii testului 5
Figura 4. 11 Schema bloc utilizata pentru simularea testului 6
Figura 4. 12 Rezultatul simularii testului 6
Figura 4. 13 Schema bloc utilizata pentru simularea testului 7
Figura 4. 14 Rezultatul simularii testului 7
Figura 4. 15 Schema bloc utilizata pentru simularea testului 8
Figura 4. 16 Rezultatul simularii testului 8
CONCLUZII
In acest proiect am incercat sa scot in evidenta importanta limbajului VHDL-AMS in crearea de modele behavior pentru circuitele integrate, cum este PROFET-ul, care in mare parte este de tip analogic. Aceste modele pot ajuta la evaluarea unui produs, nu doar din specificatiile acestuia, dar si utilizand aceste modele pentru a face simulari rapide, care pot sau nu valida testele aplicatiilor proprii.
Avand in vedere complexitatea circuitelor integrate, limbajul VHDL-AMS are un rol din ce in ce mai mare in realizarea circuitelor integrate, mai ales in industria automobilelor unde acestea sunt analogice (switch-uri, stabilizatoare de tensiune, senzori, etc…).
BIBLIOGRAFIE
[ASH1] Peter J. Ashenden, Gregory D. Peterson, Darrell A. Teegarden: “The system designer’s guide to VHDL-AMS – Analog, Mixed-Signal, and Mixed-Technology Modeling. ”
[BTS1] Infineon Technologies: “BTS 6146L – Smart High-Side Power Switch – PROFET”
[BRID1] Infineon Technologies: “Bridging Theory into Practice – Fundamentals of Power Semiconductors for Automotive Applications”
[RAZ1] Behzad Razavi: “Design of Analog CMOS Integrated Circuits”
[SEM1] Infineon Technologies AG:”Semiconductors – Technical information and
characteristic data”
World Wide Web
http://www.infineon.com
http://www.infineon.com/cgibin/ifx/portal/ep/channelView.do?channelId=64593&channelPage=/ep/channel/productCategories.jsp&pageTypeId=17099
http://www.vhdl-ams.org
Copyright Notice
© Licențiada.org respectă drepturile de proprietate intelectuală și așteaptă ca toți utilizatorii să facă același lucru. Dacă consideri că un conținut de pe site încalcă drepturile tale de autor, te rugăm să trimiți o notificare DMCA.
Acest articol: Modelarea Comportamentala In Industria Semiconductoarelor Profet (ID: 161210)
Dacă considerați că acest conținut vă încalcă drepturile de autor, vă rugăm să depuneți o cerere pe pagina noastră Copyright Takedown.
